約 491,858 件
https://w.atwiki.jp/fumiduki1985/pages/190.html
オーディオデータの波形をNUKE上で表示したい場合、下記のようにして行う。 (AudioReadノードで正常にオーディオデータが読み込めていることが前提) Curve EditorまたはDope Sheetで右クリック→View→Audio→Sourceで波形を表示したいAudioReadノードを選択する。 同様に、右クリックメニュー→View→Audio→Channelで表示したいチャンネルを選択する。 同様に、右クリックメニュー→View→Audio→Draw StyleでOff以外を選択する。 これでCurve EditorまたはDope Sheet上に波形が表示される。 ちなみに、それぞれのDraw Styleでは下記のように表示される。 Draw Style 表示方法 Off 波形を表示しない Behind Curve EditorやDope Sheetの表示内容の奥に波形を表示する Below Curve EditorやDope Sheetを上下に分割した下側に波形を表示する このページのタグ一覧 NUKE
https://w.atwiki.jp/funatti/pages/43.html
実行結果 player2.zip 操作方法 ZIPファイルを解凍したら、index.htmlを実行してください。 アプレットを実行すると、音楽が流れます。 アプレット上に再生中の部分の波形が表示されます。 フォルダに入っているmusic.mp3を変更すると、音楽が変わります。 解説 minimライブラリをインポートして、音楽再生を実現しています。 ソースコード import ddf.minim.*; Minim minim; AudioPlayer music; void setup(){ size(512, 200, P3D); minim = new Minim(this); music = minim.loadFile("music.mp3"); music.loop(); } void draw(){ background(0); stroke(255); for ( int i = 0; i music.bufferSize() - 1; i++ ){ float x1 = map(i, 0, music.bufferSize(), 0, width); float x2 = map(i+1, 0, music.bufferSize(), 0, width); line(x1, height/4 - music.left.get(i)*50, x2, height/4 - music.left.get(i+1)*50); line(x1, 3*height/4 - music.right.get(i)*50, x2, 3*height/4 - music.right.get(i+1)*50); } } void stop(){ music.close(); minim.stop(); super.stop(); }
https://w.atwiki.jp/dmori/pages/73.html
VCSから波形ファイルを出力する概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 VCSから波形ファイルを出力する 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 ///////////////////////////////////// // 波形出力 // ///////////////////////////////////// initial begin // .fsdb file (verdi) // +vcsd -P ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/vcsd.tab \ // ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/pli.a \ // +define+FSDB_ON `ifdef FSDB_ON $fsdbDumpfile("wave.fsdb"); $fsdbDumpvars(0,top); `endif // .vpd file (dve) // -debug_pp +define+VPD_ON `ifdef VPD_ON $vcdplusfile ("wave.vpd" ); $vcdpluson(); `endif end (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/wiki3_ao/pages/44.html
#blognavi webを見ていると、たまにスペクトラムアナライザーを使用したコーデックやフォーマットの評価を見かけます。しかし残念ながら、これは非可逆圧縮オーディオコーデックの評価としては、全く意味がありません。これは可逆でないフォーマット全てに共通する事柄です。 非可逆圧縮の最大の目標はデータ量あたりの主観の質を高めることです。波形の形を整えることではありません。人の耳はフラットではないので、歪みが大きくても知覚しにくい場合があったり、その反面非常に微妙な差を聞き分けられることもあります。近代の非可逆音声圧縮エンコーダはそういった知覚特性を利用して主観の質を高めている訳ですが、それには人の聴覚・心理特性をベースに符号化の精度を部分部分で変えなければなりません。 実際に、波形レベルで明らかに問題が生じていても、知覚上明らかな問題として認識できない場合があったりしますし、逆に20m/secくらいに拡大した視覚的には同じような波形であっても、聴覚的にははっきりとまずく聞こえることもあります。このことは波形の観察が音質の評価の決定打にはなりえないことの証明でしょう。 スペクトラムアナライザーでの分析に関しても、単純に高域が出た・出ないというのは意味が無いです。人は目で音を感じることはできません。エンコーダやフォーマットの開発者もまた、綺麗な周波数分布に見えることを目標としません。例えば私的な話ですが、私がエンコーダを弄る時、自分にとって嫌な音を無くす、若しくは出難くすることを目標とします。もちろんそこにはビットレートと質との間のバランスを始めとする様々な取引がある訳ですが、そこで最大の頼りとなるものはあくまで自分の耳なのです。目で確認できるのはせいぜい完全に間引かれた周波数域くらいであり、音質を判断するのは不可能です。 閑話休題、一般的に言われる高域 (16kHz~)は実際にはそれほど重要ではありません。一般的な放送などでは15~16kHzまでのレンジしかありませんし、だからといって、それが原因で音を楽しめないという話はあまり聞きません。高域を真っ先に削るのは実際にそれが人には聞こえ難い領域であるからです。もちろん聞こえ方には個人差がありますが、高域の感度は鈍さは、ほぼ全ての人にとって共通です。もし低いビットレートで無理して高い周波数にビットを割けば、より感度の高い下方の帯域で嫌な音が聞こえてくる可能性は増大します(トータルのビットレートが同じだとして)。しかし、高域の感度が鈍いからと削りすぎれば、それはそれで聴覚的に嫌と感じる人が多く出てくるかも知れません。その辺の取引はエンコーダの重要な仕事の一つですが、それは聞いた時により良い結果(ビットレート対主観の質比)が得られるように調整されるべきです。決して波形の形やスペクトラムの見た目を保つために、ではないです。 カテゴリ [音声圧縮] - trackback- 2004年11月21日 #blognavi
https://w.atwiki.jp/sigurekankore/pages/10.html
サイトの目的 このサイトは、ウォーシップガンナー2 ポータブルを楽しむための会話の場、情報を持ち寄る場として運営するよ。 ※多少の脱線はもちろん是だよ。 管理者 管理者は、サイトの管理を行うよ。 ただし、ユーザー間のトラブルあるいは嘘の情報や誹謗中傷のたぐいなど、管理者が不適切と判断した場合は、 独断で修正や削除などの措置を行う場合があるからね。 ※基本的に、管理者名でコンテンツを書くことはないよ。コンテンツに関しては、管理者であってもユーザーと同じ立ち位置で臨みたいから・・・。 編集権限 トップページなどの一部(トップページおよび左のメニュー、更新履歴、このページ。)を除いて、 全てのユーザーが編集可能だよ。また、新規ページの作成も可能だからね。 その他 さだめる必要があれば本ページに追加の指針またはローカルルールを設けるからね。
https://w.atwiki.jp/wsc2ewiki/pages/30.html
鋼鉄の咆哮1、2、2EK、3 ユニットスペック ZIP zip 鋼鉄の咆哮 初代 鋼鉄の咆哮2(バージョン1.0.5.0) EASY NORMAL HARD SUPERHARD 鋼鉄の咆哮2EK(バージョン1.1.2.0) EASY NORMAL HARD SUPERHARD 鋼鉄の咆哮3(バージョン1.1.0.3) EASY NORMAL HARD SUPERHARD ※溺れ兵員=ピッピリピ 選択肢 投票 すわん (6) あひる (1) まがも (0) ねっしぃ (1) みぃちゃん (0) 選択肢 投票 ヴォルケンクラッツァー (6) ルフトシュピーゲルング (3) リヴァイアサン (0) グロースシュタット (5) 選択肢 投票 PC鋼鉄の咆哮 (4) PC鋼鉄の咆哮2 (1) PC鋼鉄の咆哮2EK (11) PC鋼鉄の咆哮3 (3) PS2鋼鉄の咆哮 (1) PS2鋼鉄の咆哮2WSC (1) PS2鋼鉄の咆哮2WSG (0) PS2 WSG2鋼鉄の咆哮 (2) WSG2P (2) 選択肢 投票 亡国のイージスWSG (1) 選択肢 投票 NAVAL OPS COMMANDER (0) NAVAL OPS WARSHIPGUNNER (0) NAVAL OPS WARSHIPGUNNER2 (0)
https://w.atwiki.jp/skyventurer/pages/13.html
Sky Venturerのメンバーを紹介(2007/12/24現在) 更新は遅れ気味 並びはLv順 クランマスター Nanaλ 主装備-WSG Sky Venturerのクランマスターであり、このコーナーの編集担当。 クラン運営者 ニック9 主装備- グラの達人。 馬糞斬り 主装備-WSG MadinaLakeのリア友 ド○エモン 主装備‐WSG とても頼りになる存在。 長い間SVに所属してくれている。 ド○エモンの○の中身は なんなのか未だに謎である。(Nanaの推測ではザ) また、SVの主戦力の一人で、クラン戦メンバー ※ド○エモンさんには偽者が2人存在する。 Deutsch 主装備‐いろいろ クエストも対人も上手い人。昔、Nana達とDeutschさんの素材で 2回リッチをしたが、Nanaの即死によって2回とも全滅したという。 Lucy4 主装備- MadinaLake 主装備-WSG SVの主力の一人で、クラン戦メンバー 俺(Nana)は、MadinaLakeさんがいる野良TDMでは 必ず敵側には行かないように心がけている (フルボッコされるから) きみのて 主装備-WRV クラン員中トップクラスの命中精度を誇るAIMer。 油断をすると、気付かないうちに遠方からの狙撃で葬られてしまう。 ゲスト戦では、精度の高い銃撃を生かした後方支援がメイン。 単独でもKSer顔負けの実力で敵を撃ち落としていくという、 とても頼りになる存在。 -Happy- 主装備- 【LANVIN】 Deutschさんと仲が良い。実力もある。頼りになる存在 また、クエストのプロフェッショナルであり クエストになると俺TUEEEEEEEしている人。 式丸-毒蛾- 主装備-WSG クランの中でもエース的な存在。 DSでは100勝3敗という驚くべき伝説を残した。 又、チームスピークで歌いだすという伝説もある。 メンバーからは「先輩」と呼ばれ、親しまれている。 ξ長門有希ξ 主装備-WSG ゲスト戦によく参加してくれる人。 また、長門有希とは、「涼宮ハルヒの憂鬱」の登場人物の名前を拝借したものである。 SOLCION 主装備-WSG・SG/RL クラン設立に携わった一人であり、SVのPV・HP担当。 身内以外の対人を避ける小心者。 運営の癖に一番運営らしい働きを見せない。 親の妨害には飽き飽きしている。 何故か、ポート開放が出来ず凹んでいる oath_kiss 主装備- ふわぃ 主装備‐ hammanさんのサブ。(何故か運営に 最近はこっちでも積極的にINしている。 クラン員 ☆レイン★ 主装備- 魔物 主装備- 自ら「真の雑魚」と名乗っているが、 DSにて、(片手RVで)30勝4敗という戦績を持つ 上級KSer。 BulePhoenix 主装備- takoxzx 主装備- shinkyou 主装備‐WSG ゲスト戦によく参加してくれる人。 性格は、明るくて面白い。 っゆうき 主装備- つかいて 主装備‐ fei_eal 主装備- hamman 主装備-WSG クエスト・TDM大好きさん。 ж桜咲刹那ж 主装備- ちょっちぃ 主装備‐WSG 昔から、GUNZはしているのでGUNZには詳しい人。 マスターとよく話が合う。 -Lady- 主装備- 偽希 主装備- ☆くろぽん☆ 主装備- 製造番号001 主装備- (*^д^)ノ 主装備- 戦うトキワの森 主装備- ひょーご 主装備‐WSG マスターが勧誘した強い人。 Lupinus666 主装備- Front[02] 主装備- 敏感 主装備- Lost[Jun] 主装備- -戦力外- 主装備- コロモチ 主装備- SironSnow 主装備- -水無月ゆん- 主装備- [黒崎一護] 主装備- この方もクラン設立に携わった一人。 最近は忙しくてIN出来ないご様子。
https://w.atwiki.jp/insane_tja/pages/1120.html
曲Data Lv BPM TOTAL NOTES 平均密度 ★(^^) 200-200 200 4.82Notes/s 譜面構成・攻略 譜面画像
https://w.atwiki.jp/monagiko2/pages/612.html
PREV→房津(132) 581 :1/18 ◆PqqbU.7Wsg :03/07/18 13 44 ID W/E3mtj1;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;/⌒\;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;+;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;( );;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;*;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;( ̄ ̄ ̄ ̄)/;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;*;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;(_____);;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / ̄ ̄ ̄ ̄\ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;+;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; | | | | ,,,.,.,.,.,.,.,.,.,.,.,.,|,.,..,.,.,.,,,,,,,,...,,.,.,,.,.,..,,,...,.,|.,..,,,,,...,.,,,,.,...,.,.,,,.,.,.,,,,,.,.,,,...,.,.582 :2/18 ◆PqqbU.7Wsg :03/07/18 13 46 ID W/E3mtj1 _____________ |____∧∧__.∧∧ _| |\_ (*‐∀) ミД‐,,,彡\ !___ツ__! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|__ .∧∧._| \| \ .......,,,,,,,,,..........,,,,,,.. ...\ ミ∀"彡\ \ \;;;;;;; ;;;; ;;;;;; ,,..\ '⌒⌒⌒\ \ || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||. ;;;;;'';; ...\ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄583 :3/18 ◆PqqbU.7Wsg :03/07/18 13 48 ID W/E3mtj1 _____________ ? |____∧∧__.∧∧ _| ? |\_ (*‐∀) ミД‐,,,彡\ !___?__! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|__ .∧∧._| \| \ .......,,,,,,,,,..........,,,,,,.. ...\ ミ∀゚ 彡\ \ \;;;;;;; ;;;; ;;;;;; ,,..\ '⌒⌒⌒\ \ || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||. ;;;;;'';; ...\ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄584 :4/18 ◆PqqbU.7Wsg :03/07/18 13 50 ID W/E3mtj1 _____________ |____∧∧__.∧∧ _| |\_ (*‐∀) ミД‐,,,彡\ !___!_! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|__ .∧∧._| \| \ .......,,,,,,,,,..........,,,,,,.. ...\ ミ∀゚ill彡\ \ \;;;;;;; ;;;; ;;;;;; ,,..\ '⌒⌒⌒\ \ || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||. ;;;;;'';; ...\ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄585 :5/18 ◆PqqbU.7Wsg :03/07/18 13 51 ID W/E3mtj1 / \" ─── ヽ ノ ./ \ ノ ヽ ( ) ( ) ( ) ( ) ←世界地図 ( ) ( /"i ) /゙i ( / ゙i / ゙i / ゙i / ゙i ./ '゙i / ゙i ,/ .゙l / ゙i 、、,,,,,,,,,/ ゙l,,,,,,,,,,,,,,,,,,,/ ゙i、. _,,,,,,,゙l、 ゙ ;;;;;;;;;;;;;;;;;;;;;;;;;;; .゙'ニ,, ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ._,,;;ゝ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; '㍉,,,,,_ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; _,ノ'″586 :6/18 ◆PqqbU.7Wsg :03/07/18 14 04 ID W/E3mtj1 ( ヽ ∧ ∧ `( つわぁぁああ ミ◕ฺД◕ฺil彡 `( ぁああぁ ________ぁゃゃタソ… `'` '` '0 ` '` '` '` '` '` '` '` '` |____∧∧__.∧∧ _| o |\_ (*‐∀) ミД‐,*彡\ !___。__! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|__ ∧∧_| \| \ .......,,,,,,,,,..........,,,,,,.. ...\ ミ illlll彡 \ \;;;;;;; ;;;; ;;;;;; ,,..\ ミ,,,,,,,,,,ソ \ || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||'⌒⌒⌒..\ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄588 :7/18 ◆PqqbU.7Wsg :03/07/18 14 06 ID W/E3mtj1 _____ツ______ |____∧∧__.∧∧ _| |\_ (*゙∀) ミ,Д`*彡\ !_____! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|______| ゙ ∧∧\ ソ......,,,,,,,,,..........,,,,,,.. ...\ 丶 ミ illlll彡っ;;;;;;; ;;;; ;;;;;; ,,..\ .;;;;;;;; \ ミ,,,,,,,,,,彡. || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||⌒⌒⌒⌒.\ ノ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄589 :8/18 ◆PqqbU.7Wsg :03/07/18 14 08 ID W/E3mtj1 ドウシタ? _____________ |____∧∧__.∧∧ _| |\_ (∀゚*) ミ,д`*彡\ !_____! | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\|______| ∧∧\ .......,,,,,,,,,..........,,,,,,.. ...\ 丶 ミ illlll彡 \;;;;;;; ;;;; ;;;;;; ,,..\ .;;;;;;;; \ ミ,,,,,,,,,,彡. || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||⌒⌒⌒⌒.\ ノ \||__________||| ̄ ̄ ̄ ̄ ̄|  ̄ ̄ ̄ ̄ ̄590 :9/18 ◆PqqbU.7Wsg :03/07/18 14 10 ID W/E3mtj1;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;/⌒\;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;+;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;( );;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;*;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;+;;;;;;;;;;;;\( ̄ ̄ ̄ ̄);;;;;;;;;;;;;;;;;*;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;*;;;;;;;(____);;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / ̄ ̄ ̄ ̄\ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;+;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;ν;;;;;キル;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;ν;;;;;;キル;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; / \ ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; | | | | ,,,.,.,.,.,.,.,.,.,.,.,.,|,.,..,.,.,.,,,,,,,,...,,.,.,,.,.,..,,,...,.,|.,..,,,,,...,.,,,,.,...,.,.,,,.,.,.,,,,,.,.,,,...,.,..,.591 :10/18 ◆PqqbU.7Wsg :03/07/18 14 14 ID W/E3mtj1マットレス→すぐ乾かない→濡れていると眠れない→(゚д゚)マズー ∧∧ (*-∀) | ∽ | ∧∧ ~| | ミ∀Till彡 し`J ミ,,,,,,,,,,,ミ~592 :11/18 ◆PqqbU.7Wsg :03/07/18 14 15 ID W/E3mtj1 . (m) 目 !! ∧∧ (*゚∀゚) | ∽ | ∧∧ ~| | ミ∀゚ill彡 し`J ミ,,,,,,,,,,,ミ~593 :12/18 ◆PqqbU.7Wsg :03/07/18 14 16 ID W/E3mtj1;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;___________;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;∠∠∠∠∠∠∠∠∠∠/ \ ∠∠∠∠∠∠∠∠∠/ ロ \n キンジョノタスケアイハ 爻 ~~~~~~~~~~爻~' 田 田 |;;;), タイセツダナ 爻爻 .........爻爻..| ┌─┐ |;;;┌─┐ ┌─┐.爻爻爻┬─┬─┬─┬─┬─┬─┬─┤ │ ∧∧ ├─┬─┬┐┴┬┴┬┴┬┴┬┴┬┴┬┴┬┤ │ (゚∀゚*).. ├┬┴┬┴┤┬┴┬┴┬┴┬┴┬┴┬┴┬┴┤ │ (| |).∧∧┴┬┴┬┤┴┬┴┬┴┬┴┬┴┬┴┬┴┬┤ │ | | ミ∀-ilミ.┴┬┴┤─┴─┴─┴─┴─┴─┴─┴┴─┘ し`J ミ,,,,,,,,,,,,ミ~┴─┘ .................................594 :13/18 ◆PqqbU.7Wsg :03/07/18 14 17 ID W/E3mtj1 .__________ | *+ * | * + * | + * * | * * | * + *| * |* * +| * * + | * * * | * * | * * + |+ * + ~~~~~~~~~~~~~~~~~~~~~~~~ __∧_∧_ |( ^^ )| <寝るぽ(^^) |\⌒⌒⌒\ \ |⌒⌒⌒~| 山崎渉 ~ ̄ ̄ ̄ ̄595 :14/18 ◆PqqbU.7Wsg :03/07/18 14 18 ID W/E3mtj1 .__________ | *+ * | * + * | + * * | * * | * + *| * |* * +| * * + | * * * | * * | * * + |+ * + ~~~~~~~~~~~~~~~~~~~~~~~~ __∧_∧_ |( ^^ )| Zzzz |\⌒⌒⌒\ \ |⌒⌒⌒~| 山崎渉 ~ ̄ ̄ ̄ ̄596 :15/18 ◆PqqbU.7Wsg :03/07/18 14 19 ID W/E3mtj1 .__________ |*+ . * ノ.ノ. ∥| * * | + * ノ ノ ∥| * * | *. ノ∧∧∥| * * |*. .. . と(゚∀゚*)∥.| * * | * * ヽ. J∥.| * * | * * +ヾ ̄ ̄ゞ+ * + ~~~~~~~~~~~ ~~~~~~~~~~~ __∧_∧_ |( ^^ )| Zzzz |\⌒⌒⌒\ \ |⌒⌒⌒~| 山崎渉 ~ ̄ ̄ ̄ ̄597 :16/18 ◆PqqbU.7Wsg :03/07/18 14 20 ID W/E3mtj1 .__________ | *+ * | * + * | + * * | * * | * + *| * |* * +| * * + | * * * | * * | * * + |ゞ+ * + ∧∧~~~~~~~ ~~~~~~~~~~~~ __∧_∧_ (゚∀゚*) |( ^^ )| Zzzz とと | ∧∧ |\⌒⌒⌒\ | |~ ミ゚∀゚*彡 \ |⌒⌒⌒~| し`J 山崎渉 ミ,,,,,,,,,,,ミツ ~ ̄ ̄ ̄ ̄598 :17/18 ◆PqqbU.7Wsg :03/07/18 14 20 ID W/E3mtj1 .__________ | *+ * | * + * | + * * | * * | * + *| * |* * +| * * + | * * * | * * | * * + |ゞ+ * + ~~~~~~~~~~~~~ ~~~~~~~~~~~ !______! |__∧_∧_| |\( ^^ ) 丶 \\'⌒⌒⌒⌒\ .\\. ;;;;;'';; ...\ \|| ̄ ̄ ̄ ̄ ̄| 山 渉  ̄ ̄ ̄ ̄ ̄599 :18/18 ◆PqqbU.7Wsg :03/07/18 14 22 ID W/E3mtj1 Good night ... _____________ |____∧∧__.∧∧ _| |\_ (*‐∀) ミД‐,,,彡\ | |\ ̄⌒⌒⌒'''⌒⌒⌒⌒ ̄\.. ____ \| \ .......,,,,,,,,,..........,,,,,,.. ...\__∧∧_| \ \;;;;;;; ;;;; ;;;;;; ,,..\ミ∀-彡\ \ || ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄||".⌒⌒⌒\ \||__________|||.."⌒⌒⌒~| "" ̄ ̄ ̄600 :18/18+1翌日の山崎家 ◆PqqbU.7Wsg :03/07/18 14 24 ID W/E3mtj1 羽 口 立 凵 /\ /ヽ ヽ / \__/ \ つ . | . | わ | /\ /\ ・ |ぁぁ. | ’ |あぁ \ /( [三] )ヽ /ああ /`ー‐--‐‐―´\ぁあ <= 山シ 歩601 :18/18+2翌日の房津家 ◆PqqbU.7Wsg :03/07/18 14 25 ID W/E3mtj1 ( 見覚えのある ∧∧ `( おもちゃだな… (*。。) ` '` '0 ` '` '` '` '` '` '` '` '` ____0⌒/⌒0_ ∧,,∧ 。o |\ "⌒ ⌒" \ミ,,゚Д゚彡 |\\ 旦ど,, とミ ガッターイ \\ \ミ ∧∧ \| ̄ ̄ ̄ ̄ ̄ ̄ |'' ミ゚∀゚*彡 |_| ̄ ̄ ̄ ̄ ̄.|_| 山 奇とミ,,,,,,,,,彡~ NEXT→房津(134)
https://w.atwiki.jp/sevenlives/pages/2589.html
Apache WSGI? Python